成功加入购物车

去购物车结算 X
白湘云书社
  • 数字电子技术基础与实验应用教程(高职)/彭丽娟 9787560645612 彭丽娟 西安电子科技大学出版社

数字电子技术基础与实验应用教程(高职)/彭丽娟 9787560645612 彭丽娟 西安电子科技大学出版社

举报

当天发货,全新正版书。新华书店集团直发,凡是套装书,价格异常时,请咨询后下单。

  • 出版时间: 
  • 装帧:    平装
  • 开本:    其他
  • ISBN:  9787560645612
  • 出版时间: 
  • 装帧:  平装
  • 开本:  其他

售价 22.80 7.6折

定价 ¥30.00 

品相 全新

优惠 满包邮

优惠 满减券
    运费
    本店暂时无法向该地区发货

    延迟发货说明

    时间:
    说明:

    上书时间2024-05-12

    数量
    库存2
    微信扫描下方二维码
    微信扫描打开成功后,点击右上角”...“进行转发

    卖家超过10天未登录

    • 商品详情
    • 店铺评价
    手机购买
    微信扫码访问
    • 商品分类:
      综合性图书
      货号:
      1201767522
      商品描述:
      目录
      章  绪论               1  1.1  数字电路概述               1    1.1.1  电子技术的发展               1    1.1.2  数字信号               1    1.1.3  数字电路               3  1.2  电路的分类和学习方法               3第2章  数字逻辑电路基础               5  2.1  数制及代码               6    2.1.1  数制               6    2.1.2  数制的转换               7    2.1.3  代码               10  2.2  逻辑代数运算               11    2.2.1  逻辑代数的基本概念               11    2.2.2  基本逻辑(与、或、非)关系               12    2.2.3  复合逻辑运算               14  2.3  逻辑代数的基本公式               16    2.3.1  逻辑代数的基本定律与定理               16    2.3.2  逻辑代数运算法则               18    2.3.3  利用代数公式和定理化简逻辑函数               19    2.3.4  知识拓展:逻辑函数的卡诺图化简法               21    2.3.5  具有约束项的逻辑函数化简               28  2.4  逻辑电路图、逻辑表达式与真值表之间的互换               30    2.4.1  逻辑电路的表示方法               30    2.4.2  逻辑电路图与逻辑表达式之间的相互转换               30    2.4.3  逻辑表达式与真值表的相互转换               31  本章小结               32  思考与练习               33第3章  逻辑门电路               35  3.1  二极管和三极管的开关特性               35    3.1.1  二极管的开关特性               35    3.1.2  三极管的开关特性               36  3.2  基本逻辑门电路               37    3.2.1  二极管与门电路               37    3.2.2  二极管或门电路               38    3.2.3  三极管非门电路               38    3.2.4  DTL与非门电路               39  3.3  TTL集成门电路               39    3.3.1  TTL与非门的基本知识               39    3.3.2  TTL门电路集成芯片介绍               45  3.4  CMOS集成门电路               47    3.4.1  CMOS非门               47    3.4.2  其他CMOS门电路               48    3.4.3  CMOS逻辑门电路系列               49  3.5  知识拓展               50    3.5.1  其他类型TTL门电路               50    3.5.2  集成门电路输入、输出的处理               53  3.6  常用集成门电路               54  本章小结               57  思考与练习               58第4章  组合逻辑电路               60  4.1  组合逻辑电路的定义及分析与设计方法               60  4.2  编码器               64  4.3  译码器               72  4.4  数据选择器               82  4.5  加法器和数值比较器               83    4.5.1  加法器               83    4.5.2  数值比较器               85  4.6  常用的中规模集成电路(MSI)应用               86  本章小结               89  思考与练习               89第5章  集成触发器与波形变换电路               91  5.1  基本RS触发器               92  5.2  同步(可控)RS触发器               94  5.3  主从JK触发器               95  5.4  D触发器               99  5.5  触发器的转换               102    5.5.1  D触发器转换为JK触发器               102    5.5.2  JK触发器转换为D触发器               102  5.6  触发器的应用               103  5.7  脉冲信号的产生、变换与应用               104    5.7.1  脉冲               104    5.7.2  施密特电路               110    5.7.3  单稳电路               114    5.7.4  多谐振荡器               120    5.7.5  555定时电路及应用               123  本章小结               129  思考与练习               130第6章  时序逻辑电路               135  6.1  时序逻辑电路概述               135    6.1.1  时序逻辑电路的基本特征               135    6.1.2  时序逻辑电路的分析方法               137  6.2  寄存器               137    6.2.1  数码寄存器               137    6.2.2  移位寄存器               139  6.3  计数器               142    6.3.1  异步计数器               143    6.3.2  同步计数器               148    6.3.3  计数器的实例分析               156  本章小结               159  思考与练习               159第7章  数/模和模/数转换               162  7.1  概述               162  7.2  数/模转换(D/A转换)               163    7.2.1  D/A转换的原理               163    7.2.2  T型电阻网络DAC               164    7.2.3  集成DAC(DAC0832)               167  7.3  模/数转换(A/D转换)               168    7.3.1  A/D转换的原理               168    7.3.2  逐次逼近型ADC               171    7.3.3  集成ADC(ADC0809)               173  本章小结               174  思考与练习               174第8章  数字电子技术的应用举例               176  应用1  交通信号控制系统的设计与安装调试               176  应用2  多路竞赛抢答器的设计与安装调试               182  应用3  八路智力竞赛抢答器的制作               186  应用4  555集成时基电路的应用设计               187  应用5  数字电子钟的设计与安装调试               189  应用6  用555集成时基电路制作触摸和声控双功能延时灯               192  应用7  图书馆借阅人数自动统计电路               193第9章  实验技能训练项目               194  实验技能训练项目一  门电路逻辑功能及测试               194  实验技能训练项目二  TTL门电路参数测试训练               197  实验技能训练项目三  组合逻辑电路的设计               200  实验技能训练项目四  触发器的应用               201  实验技能训练项目五  译码器与编码器               204  实验技能训练项目六  译码显示电路               206  实验技能训练项目七  半加器和全加器               208  实验技能训练项目八  集成JK触发器逻辑功能测试               211  实验技能训练项目九  计数器               213  实验技能训练项目十  编码器和译码器及应用               215  实验技能训练项目十一  移位寄存器               218  实验技能训练项目十二  集成译码器               220  实验技能训练项目十三  集成显示译码器               222  实验技能训练项目十四  集成数据选择器和电路模拟开关               224  实验技能训练项目十五  集成寄存器               226    实验技能训练项目十六  集成计数器               228  实验技能训练项目十七  集成顺序脉冲发生器               230  实验技能训练项目十八  多谐振荡器               231  实验技能训练项目十九  秒信号发生器               232  实验技能训练项目二十  集成单稳态电路               233  实验技能训练项目二十一  555定时器电路及应用               234附录A  常用移动寄存器芯片弹脚及功能介绍               236附录B  常见组合逻辑电路引脚               243参考文献               246

      内容摘要
      本书以现代数字电子技术的基本知识、基本理论为主线,将数字电子技术的基本理论与各种新技术、新器件有机结合在一起,以应用为目的,在保证科学性的前提下,从工程观点考虑,删繁就简,使理论分析重点突出、概念清楚、实用性强。在内容安排上,以培养学生的应用能力为目的,将理论知识的讲授、作业与技能训练有机结合,将能力培养贯穿于整个教学过程中。本书共9章,内容包括绪论、数字逻辑电路基础、逻辑门电路、组合逻辑电路、集成触发器与波形变换电路、时序逻辑电路、数/模和模/数转换、数字电子技术的应用举例、实验技能训练项目等。本书内容丰富,实用性强,注重基础知识的介绍。书中按各章顺序列举了难度不同、规格不同的实验课题,供学生巩固理论知识、训练专业技能,为学习电子类专业的各门专业课程打下良好的基础。本书可作为高职高专电子类专业的基础理论课教材,也可作为相关工程技术人员的参考书。

      精彩内容
      高职高专教育所培养的人才是面向设计、生产、管理的技术型人才,基础课程的绩效应以必需、够用、实用为原则,以掌握基本概念、强化知识应用为教学重点,注重岗位能力的培养。本书在编写过程中严格按照“保证基础知识,突出基本概念,注重技能训练,强调理论联系实际,加强实践性教学环节”的原则,力求避免复杂的数学推导和计算,使读者易学易懂,易于掌握。本书根据国家教育部最新制定颁布的高职高专院校电子类专业规划教材电子技术基础教学基本要求,在多年教学改革与实践的基础上,以国家对电子类专业高、中级人才的要求和市场对电子类专业人才的需求为依据编写而成。本书在编写中突出以下特点。(1) 重点突出了教材的实用性。面向现代化,根据21世纪各行业对电子类专业人才的要求,体现以能力为本位的职教特色,在保证基础知识传授和基本技能训练的基础上,选择实用内容,不过分强调学科知识的系统性和严密性。(2) 内容丰富、全面、翔实,涵盖高职高专电子类专业学生必须掌握的各种基础知识和基本技能。如从电路原理的分析、电子产品的设计、元器件的作用及选择、印制电路板制作到电路调试一应俱全。(3) 兼顾了国家相关专业高、中级人才技能考核标准,适应“双证制”考核。本书在知识、技能要求的深度和广度上,以国家技能鉴定中心颁发的相关专业高、中级技能鉴定要求为依据,突出这部分知识的传授和专业技能训练,力求使学生取得毕业证的同时,又能获取本专业的高、中级技术等级证。(4) 增加了教材使用的弹性。本书分为两部分:一部分是必修内容,各地、校必须完成的教学任务;另一部分为选修内容,提供给条件较好的地区或学校选用,在书中用“※”注明。(5) 深入浅出,易学易懂。根据当前及今后较长时间高职高专学生的实际情况及国外教材的编写经验,本书删去了较深的理论推导和繁难的数学运算,内容浅显,叙述深入浅出,使学生易于接受,便于实施教学。为了便于学生深入学习和理解书中内容,各章后都附有思考与练习题。本课程教学时数为80学时左右,各章课时安排建议如下表:本书由重庆电子工程职业学院彭丽娟老师、张邦凤老师和重庆房地产职业学院张岑老师任主编,其中,第2~4章由彭丽娟老师编写,、5、6章由张岑老师编写,第7~9章由张邦凤老师编写。重庆电子工程职业学院彭克发教授负责制订编写大纲以及统稿和编审工作,并担任主审。在送审以前,中国高等学校电子教育学会会长黄庆元教授对本书进行了认真细致的审阅,并提出了许多修改意见。本书在编写过程中,还得到了不少同志的帮助,在此一并致以诚挚的谢意。由于作者水平有限,对新大纲领会不够深入,在编写中难免存在错误和疏漏,恳请读者多提宝贵意见,以便进一步修改完善。

      配送说明

      ...

      相似商品

      为你推荐

    孔网啦啦啦啦啦纺织女工火锅店第三课

    开播时间:09月02日 10:30

    即将开播,去预约
    直播中,去观看